VHDL如何將1MHZ分頻為1HZ

2021-07-28 22:31:55 字數 2465 閱讀 6148

1樓:華仔真永遠

我說個一般點的思路,通過計數分頻,主時鐘每來個上升沿計數一次,累計到524288將輸出電平反轉一次,並重新計數,如此反覆就能得出1赫茲的頻率。當然也可以用時鐘使能的方法,計數到1048576輸出一個使能訊號。

vhdl語言編寫1mhz分頻為1hz

vhdl語言。。如何實現50mhz分頻為1hz?

2樓:墨汁諾

直接採用50分頻即可。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity clk_div is

port(

clk : in std_logic;

clk1:out std_logic

end clk_div;

architecture mix of clk_div issignal count :integer range 0 to 49999999;

嚴格來說是從0-49999999,剛好50000000個計數值,正好將50m的時鐘分為1hz的時鐘

3樓:rs小

直接採用50分頻即可!50進位制你會寫吧,這個不用交了。。然後用50進製得到的signal與初始50mhz的訊號 and 。即可得到。。簡單實在。。

4樓:匿名使用者

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity clk_div is

port(

clk : in std_logic;

clk1:out std_logic

);end clk_div;

architecture mix of clk_div issignal count :integer range 0 to 49999999;

----嚴格來說是從0-49999999,剛好50000000個計數值,正好將50m的時鐘分為1hz的時鐘

begin

clk_div_proc:process(clk)begin

if rising_edge(clk) thenif count=49999999 thencount<=0;

else

count<=count+1;

end if;

if count>24999999 then---佔空比50%clk1<='1';

else clk1<='0';

end if;

end if;

end process clk_div_proc;

end mix;

**驗證正確。

5樓:匿名使用者

就是加一個由50mhz時鐘1控制的process 並在這個process裡申明一個累加器

然後時鐘2在這個process裡面累加器到了50m的時候改變狀態然後輸出為時鐘2

就可以了

額 算了 給你寫個程式吧 這年頭 得點分不容易啊library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_signed.all;

entity div_frequence isport(

clk_in,reset : in std_logic;

clk_out : out std_logic);end div_frequence;

architecture rtl of div_frequence is

signal local_s : std_logic;

begin

process(reset,clk_in)variable tmp : integer;

begin

if (reset = '0') thentmp := 0 ;

local_s <= '0';

elsif rising_edge(clk_in) thenif (tmp < 50000000) thentmp := tmp + 1;

else

if (local_s = '0') thenlocal_s <= '1';

else

local_s <= '0';

end if;

tmp := 0;

end if;

end if;

clk_out <= local_s;

end process;

end rtl;

如何將mgl換算為mg,如何將mgl換算為mgkg

光是知道0.2ml 10g桔梗水煎液還不能算出劑量。我這裡來重新出個例子 假使給小鼠腹腔注射0.25 的戊巴比妥鈉溶液0.2ml 10g,換算成劑量 mg kg 為多少?首先須知道0.25 戊巴比妥鈉溶液的含義,即指 0.25g 100ml 100 然後只需 0.25g 100ml 0.2ml 10...

請問如何將的背景設定為豆綠色,請問如何將word文件的背景設定為豆綠色?

可以在頁面顏色處設定。設定方法 單擊頁面布局選項卡上的頁面顏色按鈕,彈出下拉選擇,在主題顏色處選擇一種所需要的顏色,如圖所示。設定後的效果如圖所示 word2007在 頁面布局 下的 頁面顏色 選項中 格式 背景 選擇顏色,顏色自定義,199,237,204 頁面布局 頁面顏色,q我吧 格式 背景 ...

如何將《散步》改寫為詩歌,要符合課文

整個世界 春日裡,水長草榮,宜散步。家人與我,看那田間野趣,意趣良多。不料偶有分歧,大小路爭不斷。本想拆散,竟都不願意。我愛母,母愛子,終在小路遊玩。金花耀眼,橫整桑樹,波瀾魚塘,趣可多。我背母,妻抱子,同行樂中慈。母 妻 子 我,相守相知相行相伴。彷彿在那天地悠悠曠野中。我們加在一起就是整個世界。...