數字邏輯電路問題。求幫忙。。這個圖我知道怎樣畫,但是後面這個怎麼算阿,還有電路設計圖

2021-03-28 14:44:42 字數 3692 閱讀 9745

1樓:匿名使用者

三五的多諧振蕩典型電路就是那樣的,只不過給出了c、讓你求r1r2而已。

往裡套就是了。

充電時t1 = 0.693(r1+r2)c = 60%·t = 6.93μs×60%

放電時t2 = 0.693r2c = 40%·t = 6.93μs×40%

所以r1+r2 = 6.93μs×60%÷0.693÷0.1μf = 60ω

r2 = 6.93μs×40%÷0.693÷0.1μf = 40ω於是r1 = 60-40 = 20ω

數字邏輯電路問題

2樓:黑豹

j=1,k=0時,qn+1=1;

j=0,k=1時,qn+1=0;

j=k=0時,qn+1=qn;

j=k=1時,qn+1=~qn;

數字邏輯電路問題,如下圖

3樓:匿名使用者

74151和74ls151都是8選1資料選擇器,用資料選擇器實現邏輯函式,這是最簡單的題,課本上就有例題。74ls85是4位數值比較器,10位資料補充兩位0,當12位來比較,從高向低

如何看懂電路圖(六):數字邏輯電路詳解

4樓:君子素榮

數字電子電路中的後起之秀是數字邏輯電路。把它叫做數位電路是因為電路中傳遞的雖然也是脈衝,但這些脈衝是用來表示二進位制數碼的,例如用高電平表示 1 ,低電平表示 0 。聲音影象文字等資訊經過數位化處理後變成了一串串電脈衝,它們被稱為數碼訊號。

能處理數碼訊號的電路就稱為數位電路。

這種電路同時又被叫做邏輯電路,那是因為電路中的 1 和 0 還具有邏輯意義,例如邏輯 1 和邏輯 0 可以分別表示電路的接通和斷開、事件的是和否、邏輯推理的真和假等等。電路的輸出和輸入之間是一種邏輯關係。這種電路除了能進行二進位制算術運算外還能完成邏輯運算和具有邏輯推理能力,所以才把它叫做邏輯電路。

由於數字邏輯電路有易於整合、傳輸質量高、有運算和邏輯推理能力等優點,因此被廣泛用於計算機、自動控制、通訊、測量等領域。一般家電產品中,如定時器、告警器、控制器、電子鐘錶、電子玩具等都要用數字邏輯電路。

數字邏輯電路的第乙個特點是為了突出邏輯兩個字,使用的是獨特的圖形符號。數字邏輯電路中有閘電路和觸發器兩種基本單元電路,它們都是以電晶體和電阻等元件組成的,但在邏輯電路中我們只用幾個簡化了的圖形符號去表示它們,而不畫出它們的具體電路,也不管它們使用多高電壓,是 ttl 電路還是 cmos 電路等等。按邏輯功能要求把這些圖形符號組合起來畫成的圖就是邏輯電路圖,它完全不同於一般的放大振盪或脈衝電路圖。

數位電路中有關資訊是包含在 0 和 1 的數字組合內的,所以只要電路能明顯地區分開 0 和 1 , 0 和 1 的組合關係沒有破壞就行,脈衝波形的好壞我們是不大理會的。所以數字邏輯電路的第二個特點是我們主要關心它能完成什麼樣的邏輯功能,較少考慮它的電氣引數效能等問題。也因為這個原因,數字邏輯電路中使用了一些特殊的表達方法如真值表、特徵方程等,還使用一些特殊的分析工具如邏輯代數、卡諾圖等等,這些也都與放大振盪電路不同。

閘電路和觸發器

( 1 )閘電路

閘電路可以看成是數字邏輯電路中最簡單的元件。目前有大量整合化產品可供選用。

最基本的閘電路有 3 種:非門、與門和或門。非門就是反相器,它把輸入的 0 訊號變成 1 , 1 變成 0 。

這種邏輯功能叫非,如果輸入是 a ,輸出寫成 p=a 。與門有 2 個以上輸入,它的功能是當輸入都是 1 時,輸出才是 1 。這種功能也叫邏輯乘,如果輸入是 a 、 b ,輸出寫成 p=a·b 。

或門也有 2 個以上輸入,它的功能是輸入有乙個 1 時,輸出就是 1 。這種功能也叫邏輯加,輸出就寫成 p=a + b 。

把這三種基本閘電路組合起來可以得到各種復合閘電路,如與門加非門成與非門,或門加非門成或非門。圖 1 是它們的圖形符號和真值表。此外還有與或非門、異或門等等。

數字積體電路有 ttl 、 htl 、 cmos 等多種,所用的電源電壓和極性也不同,但只要它們有相同的邏輯功能,就用相同的邏輯符號。而且一般都規定高電平為 1 、低電平為 0 。

數字邏輯電路中畫電路的時序圖怎麼確定clk是0還是1阿??

5樓:無畏無知者

作為乙個邏輯模組的時鐘訊號,是明確的,週期固定,占空比固定;

畫其波形時,習慣上是先從低電平起,至於要畫幾個週期,就看要畫幾個輸出量,盡量看到這些輸出量都至少有個狀態的變化;

6樓:匿名使用者

clk端子有小圓圈的是下降沿有效;沒有小圓圈的是上公升沿有效

7樓:張漢松

根據元器件的工作模式確定

8樓:

這個很好判別,clk波形高電平為1低電平為零。

求數字邏輯畫電路圖的軟體

9樓:蓴灬叔

畫模擬電路

或含晶元copy的電路圖的話bai就用:protel dxp 2004。(也可畫數字邏du輯zhi圖)

畫數字邏輯電路就用daoquartus ii 。(數字專用,fpga等數字邏輯圖都能畫,可**功能,時序等)

altium公司作為eda領域裡的乙個領先公司,在原來protel 99se的基礎上,應用最先進的軟體設計方法,於2023年率先推出了一款基於windows2000和windows xp作業系統的eda設計軟體protel dxp。並於2023年推出了整合protel完整pcb板級設計功能的一體化電子產品開發系統環境——altium designer2004版。

10樓:老牛問問

畫模擬電路或含晶元的電路圖的話就用:protel dxp 2004。(也可畫數字邏輯圖)版

畫數字邏輯電權路就用quartus ii 。(數字專用,fpga等數字邏輯圖都能畫,可**功能,時序等)

以上兩軟體都易學,使用方便很好用。

11樓:翾者·飛也

xilinx,非常專業的數位電路設計軟體。

在工業中用作積體電路設計及**。

前提是你要會vhdl描述語言。

如果只是簡單的畫畫圖,用微軟的office-visio即可網上有各種破解版本的visio。

12樓:匿名使用者

proteus能**各數字模擬硬體和微控制器軟體,很好用的;multisim**數字模擬及高頻也很不錯,兩款軟體任選一款都可以完成你需要的測試**功能。。

13樓:匿名使用者

protel99se

protel-dxp

求解數字邏輯電路問題

14樓:匿名使用者

f=bc'+a'c'd'+ac'd+a'b'cd+ab'cd'

=bc'+b'c(a'd+ad')+c'(a'd'+ad)=bc'+b'c(a⊕

d)+c'(a⊙d)

=c'(b+a⊙d)+b'c(a⊕d)

=c'[b+(a⊕d)']+b'c(a⊕d)=bc' + b'c(a⊕d) + c'(a⊕d)'   //: x=a⊕d

=bc' + b'cx + c'x'

當a=d時x=0 : f = bc'+c' = c'

當a不等於d時,x=1 : f =b⊕c

請再檢查一遍。

數字邏輯電路問題,數字邏輯數位電路問題

七個狀態迴圈 0000 0001 0010 0011 0100 0101 0110 0000,故為七進製計數器。數字邏輯 數位電路問題 1 三個三輸入 3 3 9 三個門的輸出 3 還有 vcc gnd 所以 9 3 2 14 2 四個 兩輸入 門 4 2 8 四個門的輸出 4 還有 vcc gnd...

數位電路數字邏輯問題,數字邏輯電路問題

左圖如兩個與門再輸入或非門,上與門 1,下與門 0,1,0轉入或非門輸出y1 0。右圖兩個與非門為集電極開路輸出型別,上輸出 0,下輸出 1,下輸出被上輸出拉低,y2 0。看不清楚 數字邏輯電路問題 第4題 a,b,e前面加個圈分別表示a,b,e的訊號輸入端子。並不表示輸入的訊號是a非,b非,e非。...

數字邏輯電路設計中邏輯圖和實驗線路圖是一樣的嗎

邏輯圖只是把原理畫出來而已,實際如果是接整合塊的話,不同的整合塊在接的時候會有不同規定,比如哪只腳一定得接0,哪只腳得接下一塊整合塊的哪只腳。求數字邏輯畫電路圖的軟體 畫模擬電路 或含晶元copy的電路圖的話bai就用 protel dxp 2004。也可畫數字邏du輯zhi圖 畫數字邏輯電路就用d...